Xilinx社の FPGA の開発環境である Vivado MLを使用して、Kria KV260のPMODの1pinに出力するKria KV260のFPGAのプログラムを作成します。FPGAへの書き込みにはDigilent社製のJtagデバイス「JTAG HS-3」を使用します。ここでの手順ではIP「Constant」を使って、Kria KV260のPMODの1pinに出力する次のブロックデザインを作成します。

作成作業の続きは「Vivado MLによるKria KV260のFPGAのプログラム作成(その2)」に示します。

プロジェクト作成

  1. 「Quick Start」から「Create Project」をクリックします。
  2. 「Next」ボタンをクリックします。
  3. Project name「Project name」と「Project location」を設定して「Next」ボタンをクリックします。
  4. 「Next」ボタンをクリックします。
  5. 「Boards」タブをクリックし、「Kria KV260 starter kit」を選択し、「Next」ボタンをクリックします。
  6. 確認画面が表示されるので「Finish」ボタンをクリックします。
  7. 次のようにプロジェクトが作成されます。

ブロックデザイン

  1. 左端の「Flow Navigator」より「IP INTEGRATOR」の「Create Block Design」をクリックします。ダイアログが表示されるので、そのまま「OK」ボタンを押します。
  2. ブロックデザインの画面が表示されます
  3. 左端の「Flow Navigator」より「Project 」の「IP Catalog」をクリックします。検索欄に「const」を設定し、「Constant」を選択してダブルクリックします。
  4. 画面にIP「Constant」が表示されます。
  5. 画面上で右クリックして、表示されたメニューから「Create Port」を選択します。
  6. 表示されたダイアログで次のように設定し、「OK」ボタンを押します。
  7. IP「Constant」の端子「dout」を作成したPort「som240_1_a17」に接続します。
  8. 接続のレイアウトを再描画すると次のように表示されます。